AN 987: جامد تازه جزئي
د بیا تنظیمولو لارښود
د Intel® ™ Agilex F-Series FPGA پراختیایی بورډ لپاره د جامد تازه کولو جزوی بیا تنظیم کولو ټیوټوریل
د دې غوښتنلیک یادښت په Intel ® F-Series FPGA پراختیایی بورډ کې د جامد تازه جزوي بیا تنظیم (SUPR) ښودنه کوي. جزوي بیا تنظیم کول (PR) تاسو ته اجازه درکوي د Intel FPGA یوه برخه په متحرک ډول تنظیم کړئ ، پداسې حال کې چې پاتې FPGA کار کولو ته دوام ورکوي. PR ستاسو په ډیزاین کې په یوه ځانګړې سیمه کې ډیری اشخاص پلي کوي، پرته له دې چې د دې سیمې څخه بهر سیمو کې عملیات اغیزمن کړي. دا میتودولوژي لاندې لارښوونې وړاندې کويtagپه سیسټمونو کې چیرې چې ډیری دندې د ورته FPGA سرچینې شریکوي:
- د چلولو وخت بیا تنظیمولو ته اجازه ورکوي
- د ډیزاین اندازه کولو وړتیا زیاتوي
- د سیسټم وخت کموي
- په ډیزاین کې د متحرک وخت - ملټيپلیکسینګ افعال ملاتړ کوي
- د تختې ځای په موثره کارونې سره لګښت او د بریښنا مصرف کموي
د جامد تازه کولو جزوی بیا تنظیم کول څه شی دی؟
په دودیز PR کې، جامد سیمې ته هر ډول بدلون د هر شخص بیا تالیف ته اړتیا لري. په هرصورت، د SUPR سره تاسو کولی شئ یوه ځانګړې سیمه تعریف کړئ چې بدلون ته اجازه ورکوي، پرته له دې چې د شخصیتونو بیا رغولو ته اړتیا ولري. دا تخنیک د ډیزاین د یوې برخې لپاره ګټور دی چې تاسو ممکن د خطر کمولو لپاره بدلول غواړئ، مګر دا هیڅکله د وخت بیا تنظیمولو ته اړتیا نلري.
۱.۱. د ښوونې اړتیاوې
دا ټیوټوریل لاندې ته اړتیا لري:
- د Intel Quartus® Prime Pro Edition FPGA پلي کولو جریان او پروژې سره لومړني پیژندنه files.
- د Intel Quartus Prime Pro Edition 22.3 نسخه نصب کول، د Intel Agilex وسیلې ملاتړ سره.
- د FPGA پلي کولو لپاره، یو JTAG په بنچ کې د Intel Agilex F-Series FPGA پراختیایی بورډ سره اړیکه.
- د حوالې ډیزاین ډاونلوډ کړئ Files. اړوند معلومات
- د جزوی بیا تنظیم کارن لارښود
- د جزوی بیا تنظیم کولو درسونه
- د جزوی بیا تنظیم کولو آنلاین روزنه
Intel Corporation. ټول حقونه خوندي دي. Intel، د Intel لوگو، او د Intel نورې نښې د Intel Corporation یا د هغې د فرعي شرکتونو سوداګریزې نښې دي. Intel د خپل FPGA او سیمیکمډکټر محصولاتو فعالیت د Intel معیاري تضمین سره سم اوسني مشخصاتو ته تضمینوي، مګر دا حق خوندي کوي چې په هر وخت کې پرته له خبرتیا څخه په هر محصول او خدماتو کې بدلون راولي. Intel هیڅ مسؤلیت یا مسؤلیت په غاړه نه اخلي چې د غوښتنلیک یا د کوم معلوماتو، محصول، یا خدماتو کارولو څخه رامینځته کیږي چې دلته تشریح شوي پرته له دې چې د Intel لخوا په لیکلي ډول موافقه شوې وي. د انټیل پیرودونکو ته مشوره ورکول کیږي چې د وسیلې ځانګړتیاو وروستۍ نسخه ترلاسه کړي مخکې لدې چې په کوم خپاره شوي معلوماتو تکیه وکړي او د محصولاتو یا خدماتو لپاره امر کولو دمخه. * نور نومونه او نښې ممکن د نورو ملکیت په توګه ادعا شي.
ISO 9001:2015 ثبت شوی
1.2. د حوالې ډیزاین اوورview
د دې حوالې ډیزاین یو، 32-bit کاونټر لري. د بورډ په کچه، ډیزاین ساعت د 50MHz سرچینې سره نښلوي، او بیا محصول په بورډ کې څلور LEDs سره نښلوي. د کاونټر بټونو څخه د محصول غوره کول، په یو ځانګړي ترتیب کې، د دې لامل کیږي چې LEDs په یو ځانګړي فریکونسۍ کې روښانه شي. د top_counter ماډل د SUPR سیمه ده.
شکل 1. د فلیټ حواله ډیزاین
1.3. د جامد تازه کولو سیمه پای ته ورسیدهview
لاندې شکل د PR ډیزاین لپاره د بلاک ډیاګرام ښیې چې د SUPR سیمه پکې شامله ده. بلاک A ترټولو لوړ جامد سیمه ده. B بلاک د SUPR سیمه ده. بلاک C د PR ویش دی.
شکل 2. د SUPR سیمې سره د PR ډیزاین
- یو لوړ جامد سیمه — د ډیزاین منطق لري چې بدلون نه کوي. د دې سیمې بدلول د ټولو اړوندو اشخاصو بیا ترکیب ته اړتیا لري. په جامد سیمه کې د ډیزاین هغه برخه شامله ده چې د هیڅ شخص لپاره نه بدلیږي. په دې سیمه کې د پردې او اصلي وسایلو سرچینې شامل کیدی شي. تاسو باید په جامد سیمه کې د SUPR او PR ویشونو ترمینځ ټولې اړیکې ثبت کړئ. دا اړتیا د جامد سیمې په اړه د هر شخص لپاره د وخت تړلو ډاډ ترلاسه کولو کې مرسته کوي.
- د B SUPR سیمه - یوازې اصلي منطق لري چې ممکن د خطر کمولو لپاره بدلون ومومي، مګر هیڅکله د چلولو وخت بیا تنظیمولو ته اړتیا نلري. د SUPR سیمه د PR برخې په څیر ورته اړتیاوې او محدودیتونه لري. د SUPR ویش یوازې اصلي سرچینې لري. له همدې امله، د SUPR برخه باید د لوړې کچې د ریښې برخې یوه ماشوم برخه وي چې د ډیزاین پیریفیري او ساعتونه لري. د SUPR سیمې بدلول د SRAM څیز تولیدوي File (.sof) چې د ټولو موجوده تالیف شوي خام بائنری سره مطابقت لري File (.rbf) files د PR برخې لپاره C.
- د C PR پارشن - خپلمنځي منطق لري چې تاسو کولی شئ د چلولو په وخت کې د هر ډول ډیزاین منطق سره چې د تالیف پرمهال د وخت بندیدو سره سمون خوري او لاسته راوړي بیا پروګرام کولی شئ.
1.4. د حوالې ډیزاین ډاونلوډ کړئ Files
د جزوي بیا تنظیم کولو ښوونه په لاندې ځای کې شتون لري: https://github.com/intel/fpga-partial-reconfig
د ټیوټوریل ډاونلوډ کولو لپاره:
- کلون کلیک وکړئ یا ډاونلوډ کړئ.
- زپ ډاونلوډ کړئ کلیک وکړئ. fpga-partial-reconfig-master.zip خلاص کړئ file.
- د حوالې ډیزاین ته د لاسرسي لپاره ټیوټوریلونو/agilex_pcie_devkit_blinking_led_supr فرعي فولډر ته لاړشئ.
فلیټ فولډر له لاندې څخه جوړ دی files:
جدول 1. د حوالې ډیزاین Files
File نوم | تفصیل |
پورته sv | لوړ پوړ file د ډیزاین فلیټ تطبیق لري. دا ماډل د blinking_led sub-partition او top_counter ماډل انسټاګرام کوي. |
t op_counter . sv | د لوړې کچې 32-bit کاونټر چې LED [1] مستقیم کنټرولوي. د کاونټر ثبت شوی محصول LED [0] کنټرولوي، او همدارنګه د Blinking_led ماډل له لارې LED [2] او LED [3] ته ځواک ورکوي. |
blinking_led. sdc | د پروژې لپاره د وخت محدودیتونه تعریفوي. |
blinking_led. sv | په دې ټیوټوریل کې، تاسو دا ماډل د والدین PR برخې ته بدل کړئ. ماډل د top_counter ماډل راجستر شوي محصول ترلاسه کوي، کوم چې LED [2] او LED [3] کنټرولوي. |
blinking_led.qpf | د Intel Quartus Prime پروژه file په پروژه کې د ټولو بیاکتنې لیست لري. |
. qs f | د Intel Quartus Prime ترتیبات file د پروژې لپاره دندې او ترتیبات لري. |
یادونه: supr فولډر د بشپړ سیټ لري fileتاسو د دې اپلیکیشن په کارولو سره رامینځته کوئ یادښت دې ته مراجعه وکړئ files په هر وخت کې د تګ په جریان کې.
1.5. د حوالې ډیزاین واک تھرو
لاندې مرحلې د فلیټ ډیزاین سره د SUPR پلي کول تشریح کوي:
- 1 ګام: پیل کول
- 2 ګام: د ډیزاین برخې جوړول
- 3 ګام: د ځای پرځای کولو او روټینګ سیمې تخصیص کړئ
- څلورم ګام: شخصیتونه تعریف کړئ
- 5 ګام: بیاکتنې رامینځته کړئ
- شپږم ګام: د اساس بیاکتنه تالیف کړئ
- 7 ګام: د PR پلي کولو بیاکتنې تنظیم کړئ
- 8 ګام: د SUPR منطق بدل کړئ
- 9 ګام: بورډ پروګرام کړئ
شکل 3. د SUPR تالیف جریان
1.5.1. 1 ګام: پیل کول
د حوالې ډیزاین کاپي کولو لپاره fileخپل کاري چاپیریال ته ورشئ او د blinking_led فلیټ ډیزاین ترتیب کړئ:
- مخکې له دې چې تاسو پیل کړئ، د حوالې ډیزاین ډاونلوډ کړئ Fileپه 5 پاڼه کې.
- په خپل کاري چاپیریال کې د agilex_pcie_devkit_blinking_led_supr لارښود جوړ کړئ.
- ډاونلوډ شوي ټیوټوریلونه/agilex_pcie_devkit_blinking_led/flat فرعي فولډر agilex_pcie_devkit_blinking_led_supr ډایرکټر ته کاپي کړئ.
- د Intel Quartus Prime Pro Edition سافټویر کې، کلیک وکړئ File ➤ پروژه خلاص کړئ او /flat/blinking_led.qpf خلاص کړئ.
- د بیس ډیزاین تالیف کولو لپاره، کلیک وکړئ پروسس کول ➤ تالیف پیل کړئ. د وخت تحلیل کونکي راپورونه په اوتومات ډول خلاصیږي کله چې تالیف بشپړ شي. تاسو کولی شئ د اوس لپاره د وخت تحلیل کونکي بند کړئ.
1.5.2. 2 ګام: د ډیزاین برخې جوړول
د هرې سیمې لپاره ډیزاین پارټیشنونه جوړ کړئ چې تاسو غواړئ په جزوي ډول بیا تنظیم کړئ. تاسو کولی شئ په خپله پروژه کې هر ډول خپلواکې برخې یا PR سیمې رامینځته کړئ. د PR برخې په توګه د u_blinking_led مثال لپاره د ډیزاین برخې جوړولو لپاره دا مرحلې تعقیب کړئ ، او د SUPR سیمې په توګه u_top_counter مثال:
- د پروژې نیویګیټر کې د u_blinking_led مثال ښیې کلیک وکړئ او د ډیزاین برخې کلیک وکړئ
➤ د بیا تنظیم وړ. د ډیزاین برخې آیکون د هرې بیلګې تر څنګ ښکاري چې د برخې په توګه ټاکل شوی.
شکل 4. د ډیزاین برخې جوړول - د u_top_counter مثال لپاره د برخې جوړولو لپاره 1 ګام تکرار کړئ.
- ➤ د ډیزاین برخې کړکۍ باندې کلیک وکړئ. کړکۍ په پروژه کې د ډیزاین ټولې برخې ښیي.
شکل 5. د ډیزاین برخې کړکۍ
- د blinking_led Partition Name حجرې دوه ځله کلیک وکړئ ترڅو نوم یې pr_partition ته بدل کړئ. په ورته ډول، د top_counter برخې نوم supr_partition ته بدل کړئ.
په بدیل سره، blinking_led.qsf ته د لاندې لینونو اضافه کول دا برخې جوړوي:
set_instance_assignment -نوم PARTITION pr_partition \ -to u_blinking_led -entity top
set_instance_assignment -نوم PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -نوم PARTITION supr_partition \ -to u_top_counter -entity top
set_instance_assignment -نوم PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_top_counter -entity top
1.5.3. 3 ګام: د ځای پرځای کولو او روټینګ سیمې تخصیص کړئ
د هر بیس بیاکتنې لپاره چې تاسو یې رامینځته کوئ ، کمپیلر د PR برخې برخې تخصیص کاروي ترڅو اړونده شخصي کور په خوندي سیمه کې ځای په ځای کړي. ستاسو د بیس بیاکتنې لپاره د آلې په فرش پلان کې د PR سیمه موندلو او ټاکلو لپاره دا مرحلې تعقیب کړئ:
- د پروژې نیویګیټر هیرارچي ټب کې ، د u_blinking_led مثال ښیې کلیک وکړئ ، او بیا د منطق لاک سیمه کلیک وکړئ ➤ نوی منطق لاک سیمه رامینځته کړئ. سیمه د منطق لاک سیمه کړکۍ کې ښکاري.
- یوه سیمه د 5 پلنوالی او 5 لوړوالی مشخص کړئ.
- په اصلي کالم کې د u_blinking_led لپاره د ځای پرځای کولو سیمه همغږي مشخص کړئ. اصليت د سیمې لاندې کیڼ اړخ ته ورته دی. اصل د X166_Y199 په توګه مشخص کړئ. کمپیلر (X170 Y203) د پورتنۍ ښیې همغږي په توګه محاسبه کوي.
- د سیمې لپاره خوندي او یوازې اصلي اختیارونه فعال کړئ.
- د روټینګ سیمه اختیار دوه ځله کلیک وکړئ. د منطق لاک روټینګ سیمې ترتیباتو ډیالوګ بکس څرګندیږي.
- د روټینګ ډول لپاره، د توسیع سره ثابت انتخاب کړئ. دا اختیار په اوتومات ډول د یو توسیع اوږدوالی ګماري.
- د u_top_counter برخې لپاره لاندې سرچینې تخصیص کولو لپاره مخکیني ګامونه تکرار کړئ:
• لوړوالی—۵
• عرض – ۵
• اصلیت—X173_Y199
• د روټینګ سیمه— د پراخیدو سره د یوې پراخوالي اوږدوالي سره ثابته شوې.
• ساتل شوی — آن
• یواځي اصلي — آن
شکل 6. د منطق لاک سیمه کړکۍ
یادونه: د روټینګ سیمه باید د ځای پرځای کولو ساحې څخه لویه وي ، ترڅو د کمپیلر روټینګ لپاره اضافي انعطاف چمتو کړي.tage، کله چې کمپیلر مختلف شخصیتونه راوباسي.
- ستاسو د ځای پرځای کولو سیمه باید د blinking_led منطق سره وصل کړي. په چپ پلانر کې د نوډ په موندلو سره د ځای پرځای کولو سیمه غوره کولو لپاره ، د منطق لاک سیمه کړکۍ کې د u_blinking_led سیمې نوم ښیې کلیک وکړئ ، او بیا کلیک وکړئ نوډ ومومئ ➤ په چپ پلانر کې ومومئ.
- د Partition Reports لاندې، د Report Design Partitions دوه ځله کلیک وکړئ. د چپ پلانر سیمه روښانه کوي او رنګ کوډ کوي.
شکل 7. د چپ پلانر نوډ موقعیت د blinking_led لپاره
په بدیل سره، blinking_led.qsf ته د لاندې لینونو اضافه کول دا سیمې رامینځته کوي:
set_instance_assignment -نوم PARTITION pr_partition -to\u_blinking_led -entity top
set_instance_assignment -نوم PARTIAL_RECONFIGURATION_PARTITION ON \ -to u_blinking_led -entity top
set_instance_assignment -نوم PARTITION supr_partition -to u_top_counter \ -entity top
set_instance_assignment -name PARTIAL_RECONFIGURATION_PARTITION ON -to\u_top_counter -entity top
set_instance_assignment -نوم PLACE_REGION "X166 Y199 X170 Y203" -to\u_blinking_led
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name CORE_ONLY_PLACE_REGION ON -to u_blinking_led
set_instance_assignment -name REGION_NAME pr_partition -to u_blinking_led
set_instance_assignment -name ROUTE_REGION "X165 Y198 X171 Y204" -to \u_blinking_led
set_instance_assignment -نوم RESERVE_ROUTE_REGION OFF -to u_blinking_led
set_instance_assignment -نوم PLACE_REGION "X173 Y199 X177 Y203" - ته \ u_top_counter
set_instance_assignment -name RESERVE_PLACE_REGION ON -to u_top_counter
set_instance_assignment -نوم CORE_ONLY_PLACE_REGION ON -to u_top_counter
set_instance_assignment -name REGION_NAME supr_partition -to u_top_counter
set_instance_assignment -name ROUTE_REGION "X172 Y198 X178 Y204" - to \ u_top_counter
set_instance_assignment -نوم RESERVE_ROUTE_REGION OFF -to u_top_counter
1.5.4. څلورم ګام: شخصیتونه تعریف کړئ
د دې حوالې ډیزاین د واحد PR برخې لپاره درې جلا اشخاص تعریفوي، او د SUPR سیمې لپاره یو SUPR شخصیت. دا مرحلې تعقیب کړئ ترڅو دا اشخاص په خپله پروژه کې تعریف او شامل کړئ. که د Intel Quartus Prime Text Editor کاروئ، Add غیر فعال کړئ file
اوسنۍ پروژې ته کله چې خوندي کول files.
- نوی blinking_led_slow.sv، blinking_led_empty.sv، او top_counter_fast.sv SystemVerilog جوړ کړئ files ستاسو په کاري لارښود کې. تایید کړئ چې blinking_led.sv لا دمخه په کاري لارښود کې شتون لري.
- د SystemVerilog لپاره لاندې منځپانګې دننه کړئ files:
جدول 2. د حوالې ډیزاین اشخاص سیسټم ویریلوګ
File نوم تفصیل کوډ ړنګیدل_لیدل_سلو. sv LEDs ورو ځلیږي timescale 1 ps / 1 ps 'default_nettype هیڅ نه
ماډل blinking_led_slow // clock
د ان پټ تار ساعت، د ان پټ تار ری سیٹ، ان پټ تار [31:01 کاونټر،
// د LEDs تولیدي تار لپاره د کنټرول سیګنالونه led_two_on،
output wire led_three_on localparam COUNTER_TAP = 27;
reg led_two_on_r; leg led_three_on_r; assign led_two_on = led_two_on_r ; assign led_three_on = led_three_on_r ; always_ff @(Podge clock) start led_two_on_r <= counter[COUNTER_TAP]; led_three_on_r <= counter[COUNTER_TAP]; پای پای ماډلblinking_led_empty. sv LEDs دوام لري timescale 1 ps / 1 ps 'default_nettype none module blinking_led_empty(// clock input wire clock, input wire reset, input wire [31:01 counter, // Control signals for the LEC- output wire led_two_on, output wire led_three ادامه… File نوم تفصیل کوډ // LED فعال دی ټیټ assign led_two_on = l'IDO; assign led_three_on = 11b0; endmodule top_counter_fast.sv دوهم SUPR 'timescale 1 ps / 1 ps شخصیت Thdefault_nettype none ماډل top_counter_fast // د LEDs د تولید تار led_one_on لپاره د کنټرول سیګنالونه، د تولید تار [31:0] شمیره، // د ساعت ان پټ تار ساعت ); localparam COUNTER TAP = 23; reg [31:0] شمېرنه_d; ګمارل شمیره = شمیره_d؛ ټاکل شوی led_one_on = اندازه_d[COUNTER_TAP]؛ always_ff @(posdge clock) start count_d <= count_d + 2; پای .: ماډل - کلیک وکړئ File ➤ په توګه خوندي کړئ او .sv خوندي کړئ fileد اوسني پروژې لارښود کې.
1.5.5. 5 ګام: بیاکتنې رامینځته کړئ
د PR ډیزاین جریان د Intel Quartus Prime سافټویر کې د پروژې بیاکتنې خصوصیت کاروي. ستاسو ابتدايي ډیزاین د اساس بیاکتنه ده، چیرې چې تاسو په FPGA کې د جامد سیمې حدود او د بیا تنظیم وړ سیمې تعریف کړئ. د اساس بیاکتنې څخه ، تاسو اضافي بیاکتنې رامینځته کوئ. دا بیاکتنې د PR سیمو لپاره مختلف تطبیقونه لري. په هرصورت، د PR پلي کولو ټول بیاکتنې د اساس بیاکتنې څخه ورته د لوړ پوړ ځای پرځای کولو او روټینګ پایلې کاروي. د PR ډیزاین ترتیبولو لپاره، تاسو د هر شخص لپاره د PR تطبیق بیاکتنه جوړه کړئ. برسېره پردې، تاسو باید د هرې بیاکتنې لپاره د جزوی بیا تنظیم کولو - اساس یا جزوی بیا ترتیب - د شخصی تطبیق بیاکتنې ډول وټاکئ. لاندې جدول د هرې بیاکتنې لپاره د بیاکتنې نوم او د بیاکتنې ډول لیست کوي. د impl_blinking_led_supr_new.qsf بیاکتنه د SUPR شخصیت پلي کول دي.
جدول 3. د بیاکتنې نومونه او ډولونه
د بیاکتنې نوم | د بیاکتنې ډول |
blinking_led | جزوی بیا تنظیم کول – اساس |
blinking_led_default | جزوي بیا تنظیم کول – د شخصیت پلي کول |
ړنګیدل_لیدل_سلو | جزوي بیا تنظیم کول – د شخصیت پلي کول |
blinking_led_empty | جزوي بیا تنظیم کول – د شخصیت پلي کول |
impl_blinking_led_supr_new | جزوي بیا تنظیم کول – د شخصیت پلي کول |
1.5.5.1. د اساس بیاکتنې ترتیب کول
د blinking_led د اساس بیاکتنې په توګه تنظیم کولو لپاره دا مرحلې تعقیب کړئ:
- په پروژه کلیک وکړئ ➤ بیاکتنې.
- د بیاکتنې ډول لپاره، د جزوی بیا تنظیم کول غوره کړئ - اساس.
دا ګام په blinking_led.qsf کې لاندې اضافه کوي:
##blinking_led.qsf set_global_assignment -نوم REVISION_TYPE PR_BASE
1.5.5.2. د تطبیق بیاکتنې رامینځته کول
د پلي کولو بیاکتنې رامینځته کولو لپاره دا مرحلې تعقیب کړئ:
- د بیاکتنې ډیالوګ بکس کې، دوه ځله کلیک وکړئ < >.
- د بیاکتنې په نوم کې، blinking_led_default مشخص کړئ او د بیاکتنې پر بنسټ د blinking_led غوره کړئ.
- د بیاکتنې ډول لپاره، د جزوی بیا تنظیم کول غوره کړئ - د شخص تطبیق.
- د اوسني بیاکتنې اختیار په توګه سیټ غیر فعال کړئ.
- د نورو پلي کولو بیاکتنې لپاره د بیاکتنې ډول تنظیم کولو لپاره له 2 څخه تر 5 پورې مرحلې تکرار کړئ:
د بیاکتنې نوم | د بیاکتنې ډول | د بیاکتنې پر بنسټn |
ړنګیدل_لیدل_سلو | جزوي بیا تنظیم کول – د شخصیت پلي کول | blinking_led |
blinking_led_empty | جزوي بیا تنظیم کول – د شخصیت پلي کول | blinking_led |
impl_blinking_led_supr_new | جزوي بیا تنظیم کول – د شخصیت پلي کول | blinking_led |
شکل 8. د تطبیق بیاکتنې رامینځته کول
هر .qsf file اوس لاندې دنده لري:
set_global_assignment -نوم REVISION_TYPE PR_IMPL
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_top_counter
set_instance_assignment -name ENTITY_REBINDING place_holder -to u_blinking_led
1.5.6. شپږم ګام: د اساس بیاکتنه تالیف کړئ
دا مرحلې تعقیب کړئ ترڅو د اساس بیاکتنه تالیف کړئ او د نوي PR اشخاصو لپاره د پلي کولو بیاکتنې کې وروسته کارولو لپاره جامد او SUPR سیمې صادر کړئ:
- blinking_led د اوسني بیاکتنې په توګه تنظیم کړئ که دمخه نه وي ټاکل شوی.
- د ډیزاین برخې کړکۍ کې، د لرې ښي کالم سره نږدې (…) کلیک وکړئ او د وروستي صادراتو پوسټ فعال کړئ File کالم تاسو کولی شئ د کالمونو ترتیب غیر فعال یا بدل کړئ.
- د هر تالیف وروسته د PR پلي کولو ډیزاین برخې وروستي سنیپ شاټ په اوتومات ډول صادرولو لپاره ، د وروستي صادراتو پوسټ لپاره لاندې مشخص کړئ File د روټ او SUPR برخو لپاره اختیارونه. د .qdb fileد ډیفالټ لخوا د پروژې لارښود ته صادر کړئ.
• root_partition—blinking_led_static.qdb
• supr_partition—blinking_led_supr_partition_final.qdb
شکل 9. د ډیزاین پارټیشن کړکۍ کې اتومات صادراتپه بدیل سره، لاندې .qsf دندې د هر تالیف وروسته په اتوماتيک ډول ویشونه صادروي:
set_instance_assignment -نوم EXPORT_PARTITION_SNAPSHOT_FINAL \ blinking_led_static.qdb -to | - د وجود سر
set_instance_assignment -نوم EXPORT_PARTITION_SNAPSHOT_FINAL\blinking_led_supr_partition_final.qdb -to u_top_counter\-entity top - د blinking_led بیس بیاکتنې د راټولولو لپاره، د پروسس کولو ➤ پیل کلیک وکړئ
تالیف. په بدیل سره، تاسو کولی شئ د دې بیاکتنې د راټولولو لپاره لاندې کمانډ وکاروئ:
quartus_sh –flow compile blinking_led -c blinking_led د بریالي تالیف وروسته، لاندې fileد پروژې لارښود کې څرګندیږي:
• blinking_led.sof
blinking_led.pr_partition.rbf
blinking_led.supr_partition.rbf
blinking_led_static.qdb
• blinking_led_supr_partition_final.qdb
1.5.7. 7 ګام: د PR پلي کولو بیاکتنې تنظیم کړئ
تاسو باید د PR پلي کولو بیاکتنې چمتو کړئ مخکې لدې چې تاسو د وسیلې برنامې لپاره PR بټ سټریم رامینځته کړئ. پدې ترتیب کې د جامد سیمې .qdb اضافه کول شامل دي file د سرچینې په توګه file د هر تطبیق بیاکتنې لپاره. سربیره پردې، تاسو باید مشخص کړئ
د PR سیمې اړونده اداره. د PR پلي کولو بیاکتنې تنظیم کولو لپاره دا مرحلې تعقیب کړئ:
- د اوسني بیاکتنې تنظیم کولو لپاره، د پروژې ➤ بیاکتنې کلیک وکړئ، د بیاکتنې نوم په توګه blinking_led_default غوره کړئ، او بیا د اوسني تنظیم کولو کلیک وکړئ. په بدیل سره ، تاسو کولی شئ په اصلي Intel Quartus Prime Toolbar کې اوسنی بیاکتنه وټاکئ.
- د دې پلي کولو بیاکتنې لپاره د سمې سرچینې تصدیق کولو لپاره، په پروژه کلیک وکړئ ➤ اضافه/لرې کړئ Fileپه پروژه کې. تایید کړئ چې blinking_led.sv file په کې ښکاري file لیست.
- د سمې سرچینې تصدیق کولو لپاره file د تطبیق بیاکتنې لپاره، په پروژه کلیک وکړئ ➤ اضافه/لرې کړئ fileپه پروژه کې، او لاندې سرچینه اضافه کړئ fileد پلي کولو بیاکتنې لپاره. که موجود وي، د پروژې له لیست څخه blinking_led.sv لرې کړئ files.
Iد تطبیق بیاکتنې نوم سرچینه File blinking_led_empty blinking_led_empty.sv ړنګیدل_لیدل_سلو blinking_led_slow.sv - blinking_led_default د اوسني بیاکتنې په توګه تنظیم کړئ.
- د .qdb مشخص کولو لپاره file د root_partition لپاره د سرچینې په توګه، په دنده کلیک وکړئ ➤ ډیزاین برخې کړکۍ. د تقسیم ډیټابیس دوه ځله کلیک وکړئ File cell او blinking_led_static.qdb مشخص کړئ file.
- په ورته ډول، blinking_led_supr_partition_final.qdb د برخې ډیټابیس په توګه مشخص کړئ File د supr_partition لپاره.
انځور 10.
په بدیل سره، د .qdb مشخص کولو لپاره لاندې .qsf دندې وکاروئ:
set_instance_assignment -نوم QDB_FILE_PARTITION \ blinking_led_static.qdb -to |
set_instance_assignment -نوم QDB_FILE_PARTITION\blinking_led_supr_partition_final.qdb -to u_top_counter - د ډیزاین پارټیشن کړکۍ کې، د لرې ښي کالم سره نږدې (…) کلیک وکړئ او د ادارې بیا پابند کولو کالم فعال کړئ.
-
د ادارې بیا پابند کولو حجره کې، د PR برخې لپاره د نوي وجود نوم مشخص کړئ چې تاسو د اوسني پلي کولو بیاکتنې کې بدلون کوئ. د blinking_led_default پلي کولو بیاکتنې لپاره، د ادارې نوم blinking_led دی. په دې حالت کې، تاسو د نوي شرکت blinking_led سره د اساس بیاکتنې تالیف څخه u_blinking_led مثال له سره لیکئ. د نورو پلي کولو بیاکتنې لپاره، لاندې جدول ته مراجعه وکړئ:
بیاکتنه د ادارې بیا پابند ارزښت ړنګیدل_لیدل_سلو ړنګیدل_لیدل_سلو blinking_led_empty blinking_led_empty شکل 11. د وجود بیا تړل
په بدیل سره، تاسو کولی شئ د هرې بیاکتنې په .qsf کې لاندې کرښې وکاروئ ترڅو دندې تنظیم کړئ:
##blinking_led_default.qsf
set_instance_assignment -name ENTITY_REBINDING blinking_led \ -to u_blinking_led
##blinking_led_slow.qsf
set_instance_assignment -نوم ENTITY_REBINDING blinking_led_slow \ -to u_blinking_led
##blinking_led_empty.qsf
set_instance_assignment -نوم ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - د supr_partition لپاره د ادارې بیا پابند کولو سیل څخه د ځای_هولر متن حذف کړئ.
- د ډیزاین تالیف کولو لپاره، کلیک وکړئ پروسس کول ➤ تالیف پیل کړئ. په بدیل سره، د دې پروژې د راټولولو لپاره لاندې کمانډ وکاروئ: quartus_sh –flow compile blinking_led –c blinking_led_default
- د blinking_led_slow او blinking_led_empty پلي کولو بیاکتنې چمتو کولو او تنظیم کولو لپاره له 4 څخه تر 11 پورې مرحلې تکرار کړئ.
1.5.8. 8 ګام: د SUPR منطق بدل کړئ
د SUPR برخې کې د منطق فعالیت بدلولو لپاره، تاسو باید د SUPR برخې سرچینې بدل کړئ. د SUPR برخې کې د top_counter_fast ادارې سره د u_top_counter مثال بدلولو لپاره لاندې مرحلې بشپړ کړئ.
- د اوسني بیاکتنې په توګه د SUPR پلي کولو بیاکتنې تنظیم کولو لپاره، د پروژې ➤ بیاکتنې کلیک وکړئ او د اوسني بیاکتنې په توګه impl_blinking_led_supr_new تنظیم کړئ، یا غوره کړئ
د Intel Quartus Prime اصلي وسیلې بار کې بیاکتنه. - د سمې سرچینې تصدیق کولو لپاره file د تطبیق بیاکتنې لپاره، د پروژې ➤ کلیک وکړئ
اضافه / لیرې کول fileپه پروژه کې، او تصدیق کړئ چې top_counter_fast.sv د impl_blinking_led_supr_new پلي کولو بیاکتنې لپاره سرچینه ده. که موجود وي، د پروژې له لیست څخه top_counter.sv لرې کړئ files. - د .qdb مشخص کولو لپاره file د روټ برخې سره تړلی، د ډیزاین برخې کړکۍ کلیک وکړئ، او بیا د برخې ډیټابیس دوه ځله کلیک وکړئ. File د blinking_led_static.qdb مشخص کولو لپاره حجره.
په بدیل سره، د دې سپارلو لپاره لاندې کمانډ وکاروئ file: set_instance_assignment -نوم QDB_FILE_PARTITION \ blinking_led_static.qdb -to | - د pr_partition لپاره د ادارې بیا پابند کولو سیل کې، د مناسبې ادارې نوم مشخص کړئ. د دې لپاره پخوانیample، blinking_led_empty وجود مشخص کړئ. په دې حالت کې، تاسو د بنسټ بیاکتنې تالیف څخه د u_blinking_led مثال د نوي شرکت لینکینګ_led_empty سره بیا لیکئ. لاندې کرښه اوس په .qsf کې شتون لري:
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING blinking_led_empty \ -to u_blinking_led - د supr_partition لپاره د ادارې بیا پابند کولو سیل کې، د top_counter_fast وجود مشخص کړئ. top_counter_fast د جامد وجود نوم دی چې د u_top_counter ځای نیسي کله چې تاسو SUPR بشپړ کړئ.
##impl_blinking_led_supr_new.qsf set_instance_assignment -name ENTITY_REBINDING top_counter_fast \ -to u_top_counter
- د ډیزاین تالیف کولو لپاره، کلیک وکړئ پروسس کول ➤ تالیف پیل کړئ. په بدیل سره، د دې پروژې بیاکتنې د راټولولو لپاره لاندې کمانډ وکاروئ: quartus_sh –flow compile blinking_led –c \ impl_blinking_led_supr_new
1.5.9. 9 ګام: بورډ پروګرام کړئ
د Intel Agilex F-Series FPGA پراختیایی بورډ سره وصل او برنامه کولو لپاره دا مرحلې تعقیب کړئ.
- د بریښنا رسولو د Intel Agilex F-Series FPGA پراختیایی بورډ سره وصل کړئ.
- یو USB کیبل د خپل کمپیوټر USB پورټ او په پراختیایی بورډ کې د USB برنامې هارډویر ترمینځ وصل کړئ.
- د Intel Quartus Prime سافټویر خلاص کړئ، او بیا د Tools ➤ Programmer کلیک وکړئ. د پرمختیایي بورډ پروګرام کولو ته مراجعه وکړئ.
- په پروګرامر کې، د هارډویر سیټ اپ کلیک وکړئ، او بیا د USB-Blaster غوره کړئ.
- په اتوماتیک کشف کلیک وکړئ، او بیا د AGFB014R24B وسیله غوره کړئ.
- په OK کلیک وکړئ. د Intel Quartus Prime سافټویر په بورډ کې د دریو FPGA وسیلو سره پروګرامر کشف او تازه کوي.
- د AGFB014R24B وسیله غوره کړئ، په بدلون کلیک وکړئ Fileاو blinking_led_default.sof پورته کړئ file.
- د blinking_led_default.sof لپاره برنامه/کنفیګر فعال کړئ file.
- په پیل کلیک وکړئ او د پرمختګ بار ته انتظار وکړئ چې 100٪ ته ورسیږي.
- په تخته کې د LEDs په سترګو وګورئ.
- یوازې د PR سیمې پروګرام کولو لپاره، په blinking_led_default.sof ښي کلیک وکړئ file په پروګرامر کې او د PR پروګرامینګ اضافه کړئ کلیک وکړئ File. blinking_led_slow.pr_partition.rbf غوره کړئ file.
- د blinking_led_default.sof لپاره برنامه / تنظیم کول غیر فعال کړئ file.
- د blinking_led_slow.pr_partition.rbf لپاره برنامه / تنظیم کول فعال کړئ file، او بیا په پیل کلیک وکړئ. په تخته کې، LED [0] او LED [1] وګورئ چې د ړنګیدو دوام لري. کله چې د پرمختګ بار 100٪ ته ورسیږي، LED [2] او LED [3] ورو ورو روښانه کیږي.
- د PR سیمه بیا برنامه کولو لپاره ، .rbf ښیې کلیک وکړئ file په پروګرامر کې، او بیا د PR پروګرام بدلولو کلیک وکړئ File.
- .rbf غوره کړئ fileد نورو دوو اشخاصو لپاره چې په بورډ کې چلند وګوري. د blinking_led_default.pr_partition.rbf پورته کول file د LEDs لامل کیږي چې په اصلي فریکونسۍ کې ړنګیږي ، او د blinking_led_empty.pr_partition.rbf پورته کول file د LEDs د فعال پاتې کیدو لامل کیږي. 17. د SUPR منطق بدلولو لپاره، پورته 7 ګام تکرار کړئ ترڅو impl_blinking_led_supr_new.sof غوره کړئ. د دې بدلون وروسته file, led [0:1] اوس د پخوا په پرتله په چټکۍ سره سترګې پټوي. نور PR .rbf files د نوي .sof سره هم مطابقت لري.
یادونه: جمع کونکی یو .rbf تولیدوي file د SUPR سیمې لپاره. په هرصورت، تاسو باید دا ونه کاروئ file د چلولو په وخت کې د FPGA بیا برنامه کول ځکه چې د SUPR برخه په ټول سیسټم کې د فریز پل ، PR سیمه کنټرولر ، او نور منطق نه انسټیټیوټ کوي. کله چې تاسو د SUPR د برخې منطق کې بدلون راوړئ، تاسو باید بشپړ .sof بیا پروګرام کړئ file د SUPR پلي کولو بیاکتنې تالیف څخه.
شکل 12. د پرمختیایي بورډ پروګرام کول
1.5.9.1. د PR پروګرام کولو تېروتنې ستونزې حل کول
د Intel Quartus Prime Programmer او وصل شوي هارډویر مناسب تنظیم کول د PR برنامې پرمهال د هر ډول غلطیو مخنیوي کې مرسته کوي.
که تاسو د PR پروګرام کولو کومې تېروتنې سره مخ یاست، د Intel Quartus Prime Pro Edition کاروونکي لارښود کې "د PR پروګرام کولو ستونزې حل کول" ته مراجعه وکړئ: د ګام په ګام د ستونزو حل کولو لارښوونو لپاره جزوي بیا تنظیم کول.
اړوند معلومات
د PR پروګرام کولو تېروتنې ستونزې حل کول
1.5.10. د SUPR ویش بدلول
تاسو کولی شئ د موجوده SUPR ویش بدل کړئ. د SUPR برخې بدلولو وروسته، تاسو باید دا تالیف کړئ، .sof تولید کړئ file، او بورډ پروګرام کړئ، پرته له دې چې نور اشخاص راټول کړي. د مثال لپارهample، د ګړندي شمیرلو لپاره د top_counter_fast.sv ماډل بدلولو لپاره دا مرحلې تعقیب کړئ:
- impl_blinking_led_supr_new د اوسني بیاکتنې په توګه تنظیم کړئ.
- په top_counter_fast.sv file، د count_d + 2 بیان د count_d + 4 سره بدل کړئ.
- د SUPR بلاک د بیا ترکیب کولو لپاره لاندې کمانډونه چل کړئ او نوی .sof تولید کړئ file: quartus_sh –flow compile blinking_led \ -c impl_blinking_led_supr_new
په پایله کې .sof اوس د نوي SUPR سیمه لري، او د ډیفالټ (بریښنا آن) شخصیت لپاره blinking_led کاروي.
1.6. د AN 987 سند د بیاکتنې تاریخ: جامد تازه جزیي بیا تنظیم کول د ښوونې بیاکتنې تاریخ
د سند نسخه | د Intel Quartus Prime نسخه | بدلونونه |
2022.10.24 | 22. | د سند لومړنی خوشې کول. |
د Intel® Quartus®Prime ډیزاین سویټ لپاره تازه شوی: 22.3
د مهمو پوښتنو ځوابونه:
فیډبیک واستوئ
Q جامد تازه جزوي بیا تنظیم کول څه شی دی
په 3 پاڼه کې یو جامد تازه جزوي بیا تنظیمول
پوښتنه زه د دې ښوونې لپاره څه ته اړتیا لرم؟
په 3 پاڼه کې د ښوونې اړتیاوې
Q زه د حوالې ډیزاین چیرته ترلاسه کولی شم؟
د حوالې ډیزاین ډاونلوډ کړئ Fileپه 5 پاڼه کې
پوښتنه زه څنګه کولی شم د SUPR ډیزاین جوړ کړم؟
د حوالې ډیزاین واک په 6 پاڼه کې
پوښتنه د PR شخصیت څه شی دی؟
په 10 مخ کې اشخاص تعریف کړئ
پوښتنه زه څنګه د SUPR منطق بدلولی شم؟ A په 16 پاڼه کې د SUPR منطق بدل کړئ
A په 16 پاڼه کې د SUPR منطق بدل کړئ
پوښتنه زه څنګه بورډ پروګرام کولی شم؟
د بورډ پروګرام په 18 پاڼه کې
پوښتنه د PR پیژندل شوي مسلې او محدودیتونه څه دي؟
د Intel FPGA ملاتړ فورمونه: PR
آنلاین نسخه
فیډبیک واستوئ
ID: 749443
AN-987
نسخه: 2022.10.24
اسناد / سرچینې
![]() |
Intel Agilex F-Series FPGA پراختیایی بورډ [pdf] د کارونکي لارښود Agilex F-Series، Agilex F-Series FPGA پراختیایی بورډ، FPGA پراختیایی بورډ، پراختیایی بورډ، بورډ |